注目の投稿

移転

移転しました(2020.03) →  https://akehi.github.io/ ---- ・GitHub Pages使ってみたかった ・HTMLファイルをそのまま公開できるのが手軽でいいなと思った ・・JupyterとかMarkdown+PlantUMLとかで書い...

2017/03/28

FPGA(MAX10)購入奮闘記(2)


FPGAへのピンアサインは以下の通り
・48Mhz発振器の入力は27pへ
・付属LEDへの出力は120~122p (RBG.駆動源はIOバンク8用のVCC.出力端子Lで光る)
・付属SW1(LED近くのやつ)の入力は123pへ.押すとL
・その他ユーザが使える入出力系は両端のピンヘッダ(CN1,CN2).基板側に接続先ピンが書いてある.FPGA側ピンとダイレクトにつながっている.なのでプルアップは外付けするなりFPGA内蔵抵抗を使うなり自分でやる必要がある.